Amat P5000 Cvd Manual

Amat P5000 Cvd Manual 4,6/5 1392 votes

You are here: Home / Buy Equipment / CVD/PVD / Applied Materials AMAT P5000 PECVD system, 150mm, 4 chamber Applied Materials AMAT P5000 PECVD system, 150mm, 4 chamber Part number: L008. Products Home Endura 5500 Centura 5200 / 5300. Broadway Engineering is a Leading Supplier of Refurbished Applied Materials ® Equipment and Service for the Semiconductor Industry. Broadway Engineering offers completely refurbished Applied Materials ® Etch, CVD and PVD systems at a significant savings over the cost of a new unit, without sacrificing quality.

AMAT

LAM

ASML

VARIAN (VSEA)

NOVELLUS


Vacuum pumps
•Rotary vane pumps
•Turbo pumps
•Controllers
•Roots / Blowers
•Dry pumps
•Cryo pumps
•Vacuum accessories
•Other pumps

Applied Materials
150mm
200mm DxZ
AMAT PCB
P5000
200mm DPS
200mm Endura
200mm HDP
200mm Producer
200mm WxZ
200mm RTP
300mm
300mm DPS II
300mm eMAX
300mm HDP Ultimate Plus
300mm Producer
Endura 2
REFLEXION300
ASYST
Axcelis
Brooks Automation
Canon
JEOL
KLA-Tencor
LAM Research
MATTSON TECHNOLOGY
MFC
Motors
Novellus
Others
PCB
Power Supply
RF Generator
Semitool
Tokyo Electron Limited
Tube & Fitting
Vacuum Gauge
Valve
CKD
SMC
VAT
Varian
Sevices
PCBs Repair
MKS RPS Overhaul
Heating Element Overhall
CIP KITS
AMAT Producer Track Motor

AMAT 0100-76124 DIGITAL INPUT OUTPUT PCB
AMAT 0100-35160 PCB ASSY,TC AMP & INTERLOCK BD,DXZ
AMAT 0100-09366 PCBA, DPA SYSTEM INTERCONNECT, CENTURA
AMAT 0100-09307 ASSY, DTLR INTERLOCK PC BOARD
VARIAN E19009010EMI PCB ASSY,AMPLIFIER,+/- 15V,15 KG IN 10VD
VAT 01034-KE24-ACT2 Mini UHV gate valve




Candela C10

Ultron Systems UH-102 UV Curing

Expertech Mini Brute atmospheric

Mattson 2900 RTP

Mattson 2800 RTP

MRSI 505 Blue Body

MRSI 170g Parts Machine

TMC Vibration isolation tables

Strasbaugh Polishers, generators, edgers, grinders, tables

Versatest V3300

Advantest T5771-ES

HP 8116A Pulse/Function Generator

Coherent Innova 300 Krypton Laser

532 KTS laser Yags

Coherent Innova 90

Juki 750

Juki 760

Juki 2050

JUKI 2060

Feeders

Bruker AFM

DELTA22T2 Spincoater w/ hotplate

Mask Inspection Microscope Axiotron 2HD

--- SOPRA Spectro Ellipsometer GES5 w NIR3

--- Spincoater and developer

--- AST 100cs RTP system

--- SOPRA Spectro Ellipsometer GES5 w Yeso NIR3

--- Nikon NE860L

--- ECR etching system for III/V

--- Nanometrics (Biorad) QS-1200 Mapping System

--- Facility CDA compressor (Mitsui Seiki Kogyo Type: ZU225AS)

--- Glass Bevelling Machine

--- 10 ton 4 post heast press

--- Speedline MPM Ultraprint 3000 Auto Screen Printers

--- Sunkong Semi-auto Pneumatic Screen Printer (QTY 2)

--- TEL Mark 7 200mm (QTY2)

--- Brooks /PRI 7000 series PRI Reticle Stocker w/ 1813 reticle capacity - HP/Agilent 4500 ICPMS

Quaid Technologies 8900FC 6' flux coater reflow 150mm

Quaid Technologies 8900FC 5' flux coater reflow 125mm

--- Vitronics SMR-400 reflow oven 200mm belt-driven manual loading reflow furnace

--- AMAT CX SemVision 200mm single load station w/ EDX option (has bad stage)

--- Blue M NA Oven 200mm (used as a parts clean oven)

--- TEL P-8 prober 200mm gold chuck, hot/ambient, IP/DP

--- Fusion UV Bake 200mm (QTY 2)

KLA Quantox 64100 150mm (transferred from another location and still in crate)

Dainippon Screen (DNS) SS-W80A-AR CB Doublt sided brush scrubber 150mm (this is a d-sonic tool, 2 reversers, 1 vacuum chuck (topside), 1 magnetic pin chuck (backside))

ADE SAG 200mm Manual Load

SAES PS7-MGT40-H-2 bulk hydrogen gas purifier 200mm (supplies 40m^3/hour, 9 yrs old)

--- AMAT P5000 Mark II CVDE System 200mm (tool is still in fab)

--- Semitool EQ626PRCUPLTNG200 autoplater 200mm (copper)

--- Semitool ARU combined with Plater 200mm (auto recirc. unit)

--- Semitool WST606M solvent spray tool 150mm

--- MGI Phoenix V4 6' wafer transfer 200mm

--- NPC 150 Tabber Stringers (Qty 3) ($28k each)

--- 3500 flash testers no filter glass

---- Custom machine that automatically places silicon

--- Apoxy mixing machines

--- K&S 4123 (Non-working)

--- Rudolph Technologies STI WAV1000 Inspection Station for 12'

--- Vistec LDS3300M

--- Shinkawa ACB35

--- TEL LITHIUS SB 193nm

--- ESEC 3100 (QTY 6)

--- OnTrak Cleaner DSS-200/II

--- Bridgeport ion mill

--- Universal pick and place machine

--- Lasag KLS 246-FC laser

--- RFPP 10kw RF Power supplies waters, 12.56 MHZ

--- Multitest MT-3500

--- Ismeca TMBU-CA

--- Ismeca TMBU-CA 'tex' Automatic Taping Machine

--- Systemation CTM-28 Radial Taping Machine

--- Advantek ATR-1000 Manual Taping Machine

--- Hansa Tooling 201 Radial Taping Machine

--- Hansa Tooling CA2-017 Radial Taping Machine

--- Systemation TP-150 Peel Test Analyzer #1

--- Stag P801 88463 Programmer

--- Ismeca MBM-2000 Manual Taping Machine

--- Systemation ST 50 Manual Taping Machine

--- Universal Instruments 2351 Reel to Reel Axial Counter

--- System General AllWriter w/ Computer 1431 Programmer

--- Stag P301 Stag Programmer

--- Technical Devices Mark V 2 Radial Lead Trimmer/Former

--- KLA Tencor P20 Long Scan Profiler

--- Branson PN N9 barrel etcher with PM119 RF generator and 2000C controller

--- Alcatel 1/2 HP vacuum pump

--- Branson/IPC plasma etcher/stripper series 3000 plasma controller reactor PM 1813 center w/ model PM119 500W RF generator (QTY 2)

--- Branson/IPC S-2000 series PM11020 batch etcher/stripper system suitable for 75mm to 100mm wafer sizes (50 wafer capacity per batch) (QTY 2)

--- Branson/IPC 3000 series plasma controller reactor center

--- Branson IPC model 4000 plasma processing reactor center

--- Branson/IPC 2000C process controller (QTY 2)

--- Matrix system One stripper style 1/302 Ether 'as-is' for parts

--- Matrix system 1/102 stripper with Polyscience #9501 refrigerated recirculator - includes Leybold #D30A trivac pump but does not include vacuum pump (used for pre spin ashes and descum)

--- Matrix system 1/302 Ether System One etcher w/ Leybold Heraeus #D30AC trivac pump + Polyscience #9501 refrigerated recirculator

--- K&S Kulicke & Soffa 1488L turbo plus gold wire bonder (QTY 2)

--- K&S Kulicke & Soffa 1474 wire bonder system upgrade to 1474FP in 1996 - includes Olympus SZ30 stereo zoom microscope with 1- 110AL 0.05X WD200 OBJECTIVE. WIRE TYPE ALUMINUM/GOLD OPTIONAL, VACUUM 18'HG 0.5SCFM,TEMPERATURE 50F-95F(10C-35C) (QTY 2)

--- K&S Kulicke & Soffa Model 1484XQ automatic gold ball bonder complete with model 2881 workholder

--- Laurier Incorporated DS3000 Chip Sorter

--- Newport Ultra Clean RS Table Top (5ft.x8ft.x1ft.) on 4x I-2000 series High Performance Laminar Flow Isolator

--- NRC (Newport) Research Series Table Top (4ft.x8ft.x8') on 4x TYPE XL-A NRC PNEUMATIC ISOLATION MOUNT

--- NRC (NEWPORT) 4ft.x6ft.x8'-SEALED HOLE LASER TABLE (4) XL-A PNEUMATIC ISOLATION MOUNT STANDS

--- Newport RL2000 4'x6'x1' Vibration/Isolation Sealed Hole lab Table

--- Austin American Technology closed loop multi-solvent cleaner MEGA II MC2200

--- Pyramid Engineering Service LVM 381 / INTERGATED PROCESS VACUUM OVEN

--- Pyramid Engineering Act of Alignment Projection Welder DVM 381/5H1 with LVN Integrated Vacuum Oven GloveDry Box; (1)

--- Pyramid Engineering Hot Align Welding System DWC9500 DC Weld Control with Power Supply Controllers and Chargers PC Monitor

--- HyperFlo ENV1500 Vacuum to Vacuum Solvent Cleaning Systems

--- ESEC BS330 HS Handler (QTY 2)

--- Blue-M ESP-400NY-5 Mechanical Convection Oven

--- Trio-Tech G-400 Pressurization Station

--- SIKAMA Ultra Profile 4000 12 zones Reflow Solder / Curing Oven

--- Lumonics JK700 Series Class IV Laser

--- MTI1030 Grinder/wheel dresser

--- Hyprez '15LMF-IFN-2A/EJW-400-IFN Kiss Lapping System with Super Multicom CNC Control

--- Hyprez CNC lapping system 15LMF-IFN 2A/EJW-400-IFN-R system

Save hide report. Anyone able to get me an invite to pirate bay? Got a lot to offer:) 11. Share.Jul 23, 2017 Microsoft Office 2007 Download Torrent Office 2007 Service Pack 3 is the official Office 2007 you can bring updated. Microsoft office 2007 professional torrent tpb pirate version.

--- MB Dynamic PM Series-Shaker

--- MB Dynamics SS1200 amplifier MB7560 + Cumulus 14' monitor + control cabinet with M with Tokyo Ohka Kogyo RF generator 600 (year 1987) and with EB-50B 2P power supply

--- Thermodynamic Enviroment Chamber C3212

--- Hiac Royco 8000A 8-Channel Particle counter

--- WYKO 'PZ-06-SG-FF' Head Measurement System Including TMC Micro-Gpneumatic Work Station;Table,WYKO Serial Auto Stage Driver and Dell Computer System

--- A Head Measurement System, WYKO, PZ-06-SG-FF

--- Barnstead/Thermolune D4754 NANOPURE ULTRAPURE WATER SYSTEM

--- VEECO 3300 (missing computer and board) (QTY 2) ((under bid))

--- WYKO NT2000 (missing computer and board) (QTY 2) ((under bid))

--- HP Color network printer 8550DN

--- HP 16600A Logic Analyzer (with faulty power supply)

--- Anritsu MS710F Spectrum Analyzer 100kHz – 23GHz

--- HP 8566B Spectrum Analyzer 100Hz – 2.5GHz/2 – 22GHz

--- HP 438A Power Meter

--- KARL Suss MA6 (4' and 5')

--- Ultratech Titan 4700 2244i stepper

--- Ultratech Titan 4700 2244i stepper (non working)

--- Pfeiffer PLS570 (QTY 2

--- SpeedFam IPEC Avanti 372M

--- Veeco Micro-beam XRF

--- Leybod Dura Dry 605

--- KLA-Tencor 8250 XPT

--- Astex AX2050 Pq Rev 1B

--- Neslab Chiller HX+300A

--- SI Spectroscopy Instruments Optical Plasma Analyzer

--- Polycold PFC-400 ST

--- Polycold PFC-330ST

--- Veeco Texture Measurement System

--- KLA Alphastep

--- ATS furnaces that go up to 800 degrees C (QTY2)

--- AMAT Quantum X High Current Implanter,

--- Eaton 10-1

--- Varian Kestrel 750

--- Gasonics HIPOX

--- Gasonics Arua 2000

--- MRC Eclipse Star Sputterer

--- EO Technics SFL263 Single Head Fiber Laser Marking System

--- NIKON NSR S203B

--- NIKON NSR S305A

--- NIKON NSR S305B

--- NIKON NSR S306C

--- Kensington Labs CSMT-4

--- Verigy V3308AD

--- LAM TCP 9400 Poly Etch

--- Gasonics Aura 2000

--- AMAT Centura-MXP

--- Mattson Technology SHS 2800

--- KLA ES-20

--- Nanometrics 4000

--- Nanometrics 9300 (QTY2)

--- Nikon Opitsation 3

--- Olympus AL2100

--- Amtech Tempress Wafer Transfer

--- AG Associates 4100

--- P Energy FC 200A film cutting machine

--- P Energy RC 05A ribbon cutter

--- P Energy UL 640A automatic laminator unloading system

--- Saw King Industry KB-45 heavy duty vertical metal band saw

--- P Energy TS 600 Plus solar cell stringer

--- P Energy FA 200S frame assembly table

--- 300-400 unused/new PV module glass units

--- role of unopened Dupont ionomer

--- Hitachi RS5000 300mm CD-SEM

--- KLA RS100C 300mm resistivity

--- Scientek MSP2300XP 300mm particle deposition

--- Allied MultiPrep 200mm polisher

--- AMAT DPS II Metal W 300mm etch

--- AMAT Centura Enabler 300mm etch

--- KLA AIT-UV

ETS 200 tester

Teradyne J750 tester (incomplete)

--- SOT223

--- 306M-120T automolding system

--- SOT TRIM 101M dejunk system

--- SOT223 FORM 102 forming system

--- Shibuya ball mounter SBM 361 (working and power off condition)

--- HANMI 306M-120T mechanical auto mold system for SOT223

--- HANMI SOT trim 1 101M trimming system for SOT223

--- HANMI SOT223 cam press

--- ESEC 3008 wire bonder

--- Aixtron 200/4st mocvd

--- TEL TRACK Mark 10 6'

--- JEOL 6300F SEM

--- Gatan Duo Ion Mills NonWorking

--- Barnstead Thermolyne Oven LFA # 1630

--- EvenHeat Kiln

--- Laser power meter and detector

--- Ames Pneumatic Measurement Air Gauge w/ Pneumatic foot pedal actuator

--- Advantest T2000 test machine

--- Muhlbauer BT60 blister tape

--- AMAT VeraSEM 3D 300mm

--- AMICRA microtechnologies SIS wafer inking

--- Mosaid MS420501 test machine

--- Mosaid MS4205 300mm manual memory tester

--- Mosaid MS3480 tester

--- Mosaid MS420504 tester

--- Mosaid MS4105 testing machine

--- Vistec LDS 3300M 300mm

--- Micro Burn-In & Technology SPC 4000

--- TEL Lithius 300mm

--- Leica Cambridge S260 SEM

--- TEL Alpha-303i 300mm furnace/oven

--- KLA AIT 2 300mm dark field inspection

--- TEL Trias 300mm ALD

--- TEL Trias 300mm CVD

--- TEL Telius SP 300mm Etch

--- TEL FORMULA 300mm oven/furnace

--- KLA ES32 300mm e-beam inspection

--- IPEC/Westech Avanti 472 200mm CMP

--- Shinkawa ACB35 bonder

--- Hitachi V523 oscilloscope

--- Rehm Group SMS-V6-N2-2600 solder reflow oven

--- Besi FICO AMS 24 molding

--- Besi FICO AMS-11-MR1

--- Oxford CMI 950 XRF

--- Delta Design Castle LX7500 pick and place handler

--- Negevtech 302 300mm Defect Review

--- Canon FPA2500i2 200mm lithography tool

--- Muhlbauer CT8/12 tape forming

--- Avery 3205-COE scale

--- AMAT Centura 300mm CVD

--- AMAT e-MAX CT + 300mm Etch

--- Muhlbauer IPL6800 tape and reel

--- Active Technologies RIFLE testing machine

--- Micro Burn-In & Technology PE9020 electromigration oven

--- TEL Certas WING 300mm Etch

--- K&S Wafer Pro Plus 200mm bonders

--- TEL ACT 12 300mm photoresist

--- TEL Indy 300mm oven/furnace

--- TEL Lithius KrF 300mm photoresist

--- TEL Expedius+ 300mm clean/strip

--- TEL Mark 8 200mm photoresist

--- TEL FORMULA 300mm oven/furnace

--- Jusung Cyclone Plus 300mm ALD

--- Suss Microtech MA56 mask aligner

--- INNO-MAX ESE 2013 cleaner stripper

--- AMAT Uvision 200 300mm bright field inspection

--- KLA 2132 200mm bright field inspection

--- AMAT Compass Pro 300 300mm wafer inspection

--- Shinkawa ACB 400 bonder

--- Hitachi Mi-SCOPE

--- PILL Germany Export 250 solder resist development

--- Besi FICO AMS-11-MR2 molder

--- OnTrak DSS 200/II 200mm cleaner/stripper

--- DNS SDW-60-AVP 150mm photoresist

--- Multitest MT8502 gravity handlerDigi port authority download.

--- Aviza Pantheon 300mm ALD

--- Muhlbauer BT8/12 blister tape

--- ASM Ideal Mold

--- AMAT Ultima X 300mm CVD

--- AMAT eMax 300mm Etch

--- AMAT Endura 300XP 300mm sputtering system

--- AMAT Centura AP Advantage G5 300mm Etch

--- Accretech ML200 Plus 200mm scriber dicer

--- Micro Burn-In & Technology PE9000A electromigration oven

--- Jordan Valley JVX5200 XRR 300mm

--- Shinkawa ACB35S bonder

--- Incal i9470 burn-in test

--- Rudolph WV320 300mm Macro Defect

--- Rudolph METAPULSE 300mm film thickness

--- Rudolph STI WAV1000 300mm wafer inspection

--- Petter Wolters PM300 Apollo 300mm

--- DBS Instruments PGH2-100 hydrogen generator

--- Novellus Inova 300mm sputtering system

--- Dage BT2400 bond tester

--- Muhlbauer CT8/12-R tape forming

--- Aviza Celsior 300mm ALD

--- PANAlytical PW2830 300mm XRF

--- ASML AT-850D 300mm lithography

--- SVG 90SE 200mm photoresist

--- Hitachi S-9380II 300mm CD-SEM

--- Hitachi Z-5700 300mm spectroscopy

--- KLA ES31 300mm e-beam inspection

--- Novellus Speed HDP 300mm CVD

--- Hypersonic Chipheraser 1630 300mm

--- Canon FPA-5500iZa 300mm lithography

--- DNS SU3000 300mm clean/strip

--- BTU TCAS 300mm oven/furnace

--- Advantest T5571P 300mm probe

--- Advantest T6575 200mm tester

--- Keithley Instruments 590 300mm C-V analyzer

--- LAM 2300 Versys Star-T 300mm Etch

--- LAM 2300 Versys W 300mm Etch

--- DMS M600 300mm FOUP cleaner

--- Semitool Spectral 200mm clean/strip

--- Semitool RAIDER SP310 300mm Etch

--- Semitool SPECTRUM 300 300mm clean/strip

--- Axcelis Fusion 200PCU 200mm Etch

--- Qualitau HCT system 300mm PC board test

--- Oxford Plasmalab 80 Plus 300mm Etch

--- INSPEX Corp TPC 8520 200mm wafer inspection

--- Buehler Ecomet-3000 300mm polisher

--- DNS SS-W80A 200mm clean/strip

--- Nano Atlas N2000 300mm film thickness

--- Branson/ICP 5210DTH 300mm ultrasonic cleaner

--- LAM 2300 Exelan Flex 300mm Etch

--- Kokusai Quixace 300mm oven/furnace

--- JEOL JEM-2500SE 300mm microscope

--- JEOL 7555 200mm metrology/defect review

--- TSK UF300 300mm probe

--- Kobelco DJ-853V 200mm clean/strip

----- Mattson Aspen III 300mm clean/strip (QTY2)

--- LAM 2300 FLEX45 300mm Etch

--- Ci Science Torus 300K 300mm Etch

--- Tamadenshi MFD-K 200mm data processing

--- Hitachi U4001 200mm CVD

--- KLA Wafersight 300mm warp measurement

--- ASM A412 300mm oven/furnace

--- Nikon S610C 300mm lithography

--- KLA Puma 9000 300mm dark field inspection

--- Nikon NSR-2205EX14 200mm lithography

--- Nikon EX14 200mm lithography

--- Nikon NSR-2205EX12B 200mm lithography

--- Oxford Plasmalab uEtch 300 300mm Etch

--- GEMETEC WSPS53 300mm gas analyzer

--- ASM Eagle XP 300mm ALD

--- DNS FC-3000 300mm

--- Micro Manipulator 9000-VIT probe 300mm

--- TEL MB^2-730 200mm CVD

--- Negevtech NT3100 300mm bright field inspection

--- Teradyne J973 300mm tester

--- TEL TE 8500 200mm etcher

--- Jusun IMS gas analyzer

--- Metrohm MIC-3 300mm ion chromatography

--- Philips TREX 610T 200mm XRF

--- PREMTEK International 9920 300mm parametric tester

--- LAM DA VINCI DV-PRIME 300mm cleaner/stripper

--- Rigaku 3630 200mm XRF

--- LAM Kiyo Metal 300mm etch

--- TSK APM-90A 300mm probe

--- KLA 2552 Analysis Station data processor

--- Hermes iStar 300mm ion implanter

--- ASML XT-1400F 300mm lithography

--- KLA Puma 9110 300mm dark field inspection

--- AMAT Complus MP3 300mm dark field inspection

--- SII XV 300DB 300mm particle measurement

--- AMAT Producer SE 300mm CVD

--- TEL Telius SP 305 SCCM TE 300mm etch

--- TEL Expedius 300mm cleaner/stripper

--- TEL Expedius+ 300mm cleaner/stripper

--- AMAT DR-9300 200mm SEM

--- KLA ES32 300mm E-beam inspection

--- WJ TEOS 1500 200mm CVD

--- Perkin Elmer AAnalyst 600 300mm spectroscopy

--- TEL 78S 200mm probe

--- Veeco Vx340 300mm microscopes

--- Micro Manipulator 9000-VIT 300mm probe

--- Canon APT5850 200mm CVD

--- Nicolet Eco3000 300mm spectroscopy

--- Rigaku 3272 300mm XRF

--- TEL Expedius 300mm cleaner/stripper

--- ASML AT-850D 300mm lithography

--- SVG 90SE 200mm photoresist

--- Hitachi S-9380II 300mm CD-SEM

--- KLA ES31 300mm e-beam inspection

--- Novellus Speed HDP 300mm CVD

--- AMAT Ultima X 300mm CVD

--- PANAlytical PW2830 300mm XRF

--- DNS SU3000 300mm cleaner/stripper

--- Advantest T5571P 300mm probe

--- Rudolph WV320 300mm macro defect

--- Hypersonic Chipheraser 1630 300mm EPROM eraser

--- Advantest T6575 200mm

--- DMS M600 300mm FOUP cleaning

--- AMAT Centura AP Advantage G5 300mm etch

--- Semitool Spectral 200mm cleaner/stripper ----- Rudolph METAPULSE 300mm film thickness

--- Keithley instruments 590 300mm C-V Analyzer

--- TEL ACT 12 300mm photoresist

--- Axcelis Fusion 200PCU 200mm etch

--- AMAT P5000 Mark II 200mm CVD

--- Qualitau EM system-X 300mm PC board test

--- Oxford Plasmalab 80 Plus 300mm etch

--- INSPEX Corp TPC 8520 200mm wafer inspection

--- Qualitau MIRA EM/SM EML-60 300mm

--- KLA 2115 200mm wafer inspection

--- KLA 2800 300mm bright field inspection

--- TEL Lithius I-line 300mm photoresist

--- Scientech Oxide Etch 8” 200mm

--- Hugle UPC-12100 300mm FOUP cleaning

--- TEL Unity-lle 85DI 200mm etch

--- FSI Orion 300mm cleaner/stripper

--- Rudolph AXI-935 300mm macro defect

--- PSK Tera 21 300mm cleaner/stripper

--- Tec-sem Practor 300mm wafer handler

--- Accretech Win-Win 50 300mm bright field inspection

--- Rudolph S300 300mm film thickness

--- Advantest T5771 300mm tester

--- Ushio UMA-2003 300mm photoresist

--- Brooks Zaris 300mm mask inspection

--- LAM v2 Mainframe 300mm etch

--- Rion KS-40AF 300mm particle measurement

--- Rudolph Macro Defect WV320 YVS 300mm

--- AMAT DPS II POLY 300mm etch

--- TEL 8500PE 200mm Etch

--- Qualitau EM System-Z 300mm PC board test

--- Novellus Vector 300mm CVD

--- Leica Polylite 88 200mm microscope



Daifuku F-300,F-200,F-100 Parts stocker
Daifuku CLS-40A parts storage and movement
Saito Seiki Y-130 200mm Ingot Band Saw
Semicon Create Automated wet bench
Semicon Create First clean (dewax)
Tokyo Microtec AWE-850L Acid Etch
Saito Seiki Y-128 200mm Auto outside grinding machine
Yamaguichi Y-5009 Ingot cut off crop Saw
Toyo TXG470-A8 Ingot Mounting Machine
Toyo T-DM 470-8 Auto Demount Machine
Sankyo Semi auto wet bench (Post lapping clean)
Daitron CZD-10 Liquid Hone/Back Side Damage Machine
Daitron Manual Demount machine
Spec SBXAT18.70 Quartz Crucible Cleaner
Spec SBX15-62 OSF Etching Draft
Spec SBX10-56 Hoeizontal Quartz Tube Cleaning
Spec SPHAT16-30 Rotary Etch Bench
Spec ABX11-40 Tube & Chamber Cleaning machine
Spec SBXID-56 Quartz Tube Cleaning
Spec SBX10-36 OSFEtching Draft Chamber
Spec SBX4-36 Quartz & Maetal Parts Cleaning Machine
Ultracision OCR Stand Alone Dual Camera Wafer ID
Ultracision VWP-8 Sorter
Hytek plastics Beveling wafer bath manual wafer rinse bath
Coulter LS230 optical Bench
SAES pure Gas Inc Oxygen Purifier
ADE 9500 or 9700, dual cassette
Agilent HP HP94000
Applied Materials Mirra 3400
Applied Materials Mirra Mesa oxide
Applied Materials Centura 5200 EPI with HTF
Applied Materials Endura 1995 or Newer
Applied Materials Endura with 2~3 Ultima or Ultima + chambers
ASECO S130 TRI-TEMPERATURE HANDLER FOR 28 LEAD SSOP
ASML PAS 5500 1100 or 1150 B OR C
ASML I-line steppers (Models like 150, 200 etc.) ATCOR Box washer, any model
AXCELIS / Eaton GSD 200 Implanter
AXCELIS / FUSION Gemini
CANON EX5 OR EX6
Complete line 5 inch
Complete line 6 inch
Complete line 8 inch
Credence Personal Kalos
Despatch - Ovens
Disco DAD321
Disco DFG841
DMS 1500 Reticle stocker, 6 inch Nikon boxes
DNS AS2000
Eichorn + Hausmann MX204 Wafer Geometry Gauge
Electroglas 4090
Electroglas EG2001X
EMTEC DENP-250 Edge Grinder
FSI mercury mp
Gasonics L3510 ashers. We also have refurbished L3510s for sale.
Hitachi S-9260
Hitachi S-9260 WITH DUAL SMIF
KARL SUSS ACS 300
KARL SUSS MA 300
KARL SUSS METAL LIFT-OFF PROCESSOR
Kalos Personal Computer 96 I/O
KLA RS75 Resistivity mapping Tool
KLA 2365
KLA-Tencor M-GAGE 300
KLA SP1-TBI with 4 load ports
KLA UV1280 WITH DUAL SMIF 8 inch
KLA SL3-UV Reticle inspection
KME CM202D
Kokusai Apogee
LAM 4520i
Leica INS300
Leica INS3000
Lumonics GSI WaferMark Sigma DSC 100-200 MM
Mattson Aspen 2 for rebuild
MRC 943 6' Sputtering tool
Nicolet ECO 1000
Oxford 80 Plus RIE for rebuild
Rigaku 3640 Wafer Disk Analyser
RION LPD any model
SEMIGEAR GENEVA STP300 300MM
SEMITOOL 4400 300 MM SRD
SEMITOOL SAT, 6 INCH
Speedfam 20B Double sided Lapping Machine
Speedfam 20D-S Double sided polisher
Thermo Scientific KeyTek ZapMaster
Watkins-Johnson WJ 999R APCVD

Candela C10

--- Ultron Systems UH-102 UV Curing

--- Expertech Mini Brute atmospheric

--- Mattson 2900 RTP

--- Mattson 2800 RTP

--- MRSI 505 (QTY 2) Blue Body

--- MRSI 170g Parts Machine

--- TMC Vibration isolation tables

--- Strasbaugh Polishers, generators, edgers, grinders, tables

--- Versatest V3300 (QTY 2)

--- Advantest T5771-ES

--- HP 8116A Pulse/Function Generator

--- Coherent Innova 300 Krypton Laser

--- 532 KTS laser Yags

--- Coherent Innova 90

--- Juki 750 (QTY 3)

--- Juki 760

--- Juki 2050

--- JUKI 2060

--- Feeders (QTY 50)

--- Bruker AFM

--- DELTA22T2 Spincoater w/ hotplate

--- Mask Inspection Microscope Axiotron 2HD

--- SOPRA Spectro Ellipsometer GES5 w NIR3

--- Spincoater and developer

--- AST 100cs RTP system

--- SOPRA Spectro Ellipsometer GES5 w Yeso NIR3

--- Nikon NE860L

--- ECR etching system for III/V

--- Nanometrics (Biorad) QS-1200 Mapping System

--- Facility CDA compressor (Mitsui Seiki Kogyo Type: ZU225AS)

--- Glass Bevelling Machine

--- 10 ton 4 post heast press

--- Speedline MPM Ultraprint 3000 Auto Screen Printers

--- Sunkong Semi-auto Pneumatic Screen Printer (QTY 2)

--- TEL Mark 7 200mm (QTY2)

--- Brooks /PRI 7000 series PRI Reticle Stocker w/ 1813 reticle capacity - HP/Agilent 4500 ICPMS

--- Quaid Technologies 8900FC 6' flux coater reflow 150mm

--- Quaid Technologies 8900FC 5' flux coater reflow 125mm

--- Vitronics SMR-400 reflow oven 200mm belt-driven manual loading reflow furnace

--- AMAT CX SemVision 200mm single load station w/ EDX option (has bad stage)

--- Blue M NA Oven 200mm (used as a parts clean oven)

--- TEL P-8 prober 200mm gold chuck, hot/ambient, IP/DP

--- Fusion UV Bake 200mm (QTY 2)

--- KLA Quantox 64100 150mm (transferred from another location and still in crate)

--- Dainippon Screen (DNS) SS-W80A-AR CB Doublt sided brush scrubber 150mm (this is a d-sonic tool, 2 reversers, 1 vacuum chuck (topside), 1 magnetic pin chuck (backside))

--- ADE SAG 200mm Manual Load

--- SAES PS7-MGT40-H-2 bulk hydrogen gas purifier 200mm (supplies 40m^3/hour, 9 yrs old)

--- AMAT P5000 Mark II CVDE System 200mm (tool is still in fab)

--- Semitool EQ626PRCUPLTNG200 autoplater 200mm (copper)

--- Semitool ARU combined with Plater 200mm (auto recirc. unit)

--- Semitool WST606M solvent spray tool 150mm

--- MGI Phoenix V4 6' wafer transfer 200mm

--- NPC 150 Tabber Stringers (Qty 3) ($28k each)

--- 3500 flash testers no filter glass

---- Custom machine that automatically places silicon

--- Apoxy mixing machines

--- K&S 4123 (Non-working)

--- Rudolph Technologies STI WAV1000 Inspection Station for 12'

--- Vistec LDS3300M

--- Shinkawa ACB35

--- TEL LITHIUS SB 193nm

--- ESEC 3100 (QTY 6)

--- OnTrak Cleaner DSS-200/II

--- Bridgeport ion mill

--- Universal pick and place machine

--- Lasag KLS 246-FC laser

--- RFPP 10kw RF Power supplies waters, 12.56 MHZ

--- Multitest MT-3500

--- Ismeca TMBU-CA

--- Ismeca TMBU-CA 'tex' Automatic Taping Machine

--- Systemation CTM-28 Radial Taping Machine

--- Advantek ATR-1000 Manual Taping Machine

--- Hansa Tooling 201 Radial Taping Machine

--- Hansa Tooling CA2-017 Radial Taping Machine

--- Systemation TP-150 Peel Test Analyzer #1

--- Stag P801 88463 Programmer

--- Ismeca MBM-2000 Manual Taping Machine

--- Systemation ST 50 Manual Taping Machine

--- Universal Instruments 2351 Reel to Reel Axial Counter

--- System General AllWriter w/ Computer 1431 Programmer

--- Stag P301 Stag Programmer

--- Technical Devices Mark V 2 Radial Lead Trimmer/Former

--- KLA Tencor P20 Long Scan Profiler

--- Branson PN N9 barrel etcher with PM119 RF generator and 2000C controller

--- Alcatel 1/2 HP vacuum pump

--- Branson/IPC plasma etcher/stripper series 3000 plasma controller reactor PM 1813 center w/ model PM119 500W RF generator (QTY 2)

--- Branson/IPC S-2000 series PM11020 batch etcher/stripper system suitable for 75mm to 100mm wafer sizes (50 wafer capacity per batch) (QTY 2)

--- Branson/IPC 3000 series plasma controller reactor center

--- Branson IPC model 4000 plasma processing reactor center

--- Branson/IPC 2000C process controller (QTY 2)

--- Matrix system One stripper style 1/302 Ether 'as-is' for parts

--- Matrix system 1/102 stripper with Polyscience #9501 refrigerated recirculator - includes Leybold #D30A trivac pump but does not include vacuum pump (used for pre spin ashes and descum)

--- Matrix system 1/302 Ether System One etcher w/ Leybold Heraeus #D30AC trivac pump + Polyscience #9501 refrigerated recirculator

--- K&S Kulicke & Soffa 1488L turbo plus gold wire bonder (QTY 2)

--- K&S Kulicke & Soffa 1474 wire bonder system upgrade to 1474FP in 1996 - includes Olympus SZ30 stereo zoom microscope with 1- 110AL 0.05X WD200 OBJECTIVE. WIRE TYPE ALUMINUM/GOLD OPTIONAL, VACUUM 18'HG 0.5SCFM,TEMPERATURE 50F-95F(10C-35C) (QTY 2)

--- K&S Kulicke & Soffa Model 1484XQ automatic gold ball bonder complete with model 2881 workholder

--- Laurier Incorporated DS3000 Chip Sorter

--- Newport Ultra Clean RS Table Top (5ft.x8ft.x1ft.) on 4x I-2000 series High Performance Laminar Flow Isolator

--- NRC (Newport) Research Series Table Top (4ft.x8ft.x8') on 4x TYPE XL-A NRC PNEUMATIC ISOLATION MOUNT

--- NRC (NEWPORT) 4ft.x6ft.x8'-SEALED HOLE LASER TABLE (4) XL-A PNEUMATIC ISOLATION MOUNT STANDS

--- Newport RL2000 4'x6'x1' Vibration/Isolation Sealed Hole lab Table

--- Austin American Technology closed loop multi-solvent cleaner MEGA II MC2200

--- Pyramid Engineering Service LVM 381 / INTERGATED PROCESS VACUUM OVEN

--- Pyramid Engineering Act of Alignment Projection Welder DVM 381/5H1 with LVN Integrated Vacuum Oven GloveDry Box; (1)

--- Pyramid Engineering Hot Align Welding System DWC9500 DC Weld Control with Power Supply Controllers and Chargers PC Monitor

--- HyperFlo ENV1500 Vacuum to Vacuum Solvent Cleaning Systems

--- ESEC BS330 HS Handler (QTY 2)

--- Blue-M ESP-400NY-5 Mechanical Convection Oven

--- Trio-Tech G-400 Pressurization Station

--- SIKAMA Ultra Profile 4000 12 zones Reflow Solder / Curing Oven

--- Lumonics JK700 Series Class IV Laser

--- MTI1030 Grinder/wheel dresser

--- Hyprez '15LMF-IFN-2A/EJW-400-IFN Kiss Lapping System with Super Multicom CNC Control

--- Hyprez CNC lapping system 15LMF-IFN 2A/EJW-400-IFN-R system

--- MB Dynamic PM Series-Shaker

--- MB Dynamics SS1200 amplifier MB7560 + Cumulus 14' monitor + control cabinet with M with Tokyo Ohka Kogyo RF generator 600 (year 1987) and with EB-50B 2P power supply

--- Thermodynamic Enviroment Chamber C3212

--- Hiac Royco 8000A 8-Channel Particle counter

--- WYKO 'PZ-06-SG-FF' Head Measurement System Including TMC Micro-Gpneumatic Work Station;Table,WYKO Serial Auto Stage Driver and Dell Computer System

--- A Head Measurement System, WYKO, PZ-06-SG-FF

--- Barnstead/Thermolune D4754 NANOPURE ULTRAPURE WATER SYSTEM

--- VEECO 3300 (missing computer and board) (QTY 2) ((under bid))

--- WYKO NT2000 (missing computer and board) (QTY 2) ((under bid))

--- HP Color network printer 8550DN

--- HP 16600A Logic Analyzer (with faulty power supply)

--- Anritsu MS710F Spectrum Analyzer 100kHz – 23GHz

--- HP 8566B Spectrum Analyzer 100Hz – 2.5GHz/2 – 22GHz

--- HP 438A Power Meter








Product Description

Currently Configured for 300mm wafer size
MFG Date: 2007
EQUIPMENT DETAILS:
Tool Status: Warehoused.

Vendor: AMAT Model: QUANTUM X PLUS Process: HICURRENT

Wafer Size Diameter 300+/- 0.05mm(SEMI M28), 775 +/- 25um, Notch

System Enclosure: Through-The-Wall

Toxic Exhaust:TOP

Utilities Entry Option 1 :Utilities enter through the bottom

Utilities Entry Option 2: Utilities enter through the Top

Water Fittings :Imperial (NPT) Fittings

Fans :Enclosure Exhaust Fans

Cable Length Option 1 : 15 Meters Remote cables

Cable Length Option 2 : 25 Meters Remote cables to be TC rated

Signal Tower:Standard System Status Signal Tower

Smoke Detector: VESDA Smoke detector system

FOUP : Comply with SEMI E47.1 (25wafers)

Automated Interface SEMI E84 OHV with Sensors

Host Protocol SECS-II/GEM Host Computer Protocol

Hardware interface HSMS Ethernet Switches

Loadport Option 1: 3 Load Port Stations

Loadport Option 2 : 4 Load Port Stations

Loadport Station Type : Applied Door Openers (ADO)

E99 Carrier ID HERMOS Tag Reader with RFID

Operator Access Switches: Yes

GEM SEC Revision :Versions E87-703, E40-703, E94-702, E37-0298, E84-1000

End Effector Type :Low Backside Particle End Effector

Mini Environment Option 1: PTFE ULPA Filters

Mini Environment Option 2: Teardrop Mini Environment Light

Mini Environment Option 3: Mini Environment Louvered Rear Door

Implant angle control :Maximum Implant Tilt Angle +/- 60 degrees

Ion Source Selection: QUANTUM X Plus Ultralife Source with Integral G l/G2 Electrode (Without Vaporizers)

G1 Electrode: Graphite Front plate

Processor :HD-PFS

Language Selection :English Language

Cryo Pumps: Pump Cryo ISO 250 Onboard, (CTI/8185073G001)

Load Lock pumpL: A100V Load Lock Vacuum Pump for LL Roughing, (Alcatel/A100V111311)

Step Scanning pump: PUMP, DRY, IPUP, Alcatel for Stepscan roughing (3620-00423)

Beamline Pump: Alcatel A100P IPUP for Beamline roughing (3620-00404)

MRS Turbo pump : PUMP SEIKO Turbo A1603CISO250F for MRS turbo (SEIKO/PT460Z30)

Source Turbo pump: PUMP SEIKO Turbo A1603CISO200F for Source turbo (SEIKO/PT460Z30)

Step Scan Turbo pump Edwards EXT70H for Stapscan (B722-23-000)

Position 1 :Gas type=BF3 Gas Delivery system= HP

Position 2:Gas type=AsH3 Gas Delivery system= SDS

Position 3: Gas type=PH3 Gas Delivery system= SDS

Position 4: Gas type=N2 Gas Delivery system= HP

Position 5: (Optional) Gas type=N2 Gas Delivery system= HP Position 1 MFC Mass Flow controller type = Unit 1660

Position 2:MFC Mass Flow controller type = Unit 1662

Position 3: MFC Mass Flow controller type = Unit 1662

Position 4 :MFC Mass Flow controller type = Unit 1660

Position 5 (Optional) MFC Mass Flow controller type = Unit 1660

Fittings for P2& 3: AsH3/PH3 SDS (Half inch VCR) Bottle Fitting

Fittings for P4&5 :N2 Bottle Fitting

Fitting for P1 Seletion option Site CGA330 Bottle fitting for Gas#1

Fitting for P1 Seletion Option by site DISS642 connector for Gas#1

Gas Bottle Support Gas Bottle Support Kit (40-110mm) System Purge Argon System Purge RGA Residual Gas Analyzer MKS spectra

  • пятница 06 марта
  • 92